AAAAAA

   
Results: 1-25 | 26-27
Results: 1-25/27

Authors: Lu, JQ Kushner, MJ
Citation: Jq. Lu et Mj. Kushner, Sources of azimuthal asymmetries in ionized metal physical vapour deposition processes, PLASMA SOUR, 10(3), 2001, pp. 502-512

Authors: Lu, JQ Kushner, MJ
Citation: Jq. Lu et Mj. Kushner, Trench filling by ionized metal physical vapor deposition, J VAC SCI A, 19(5), 2001, pp. 2652-2663

Authors: Zhang, D Kushner, MJ
Citation: D. Zhang et Mj. Kushner, Investigations of surface reactions during C2F6 plasma etching of SiO2 with equipment and feature scale models, J VAC SCI A, 19(2), 2001, pp. 524-538

Authors: Kinder, RL Kushner, MJ
Citation: Rl. Kinder et Mj. Kushner, Wave propagation and power deposition in magnetically enhanced inductivelycoupled and helicon plasma sources, J VAC SCI A, 19(1), 2001, pp. 76-86

Authors: Dorai, R Kushner, MJ
Citation: R. Dorai et Mj. Kushner, Effect of multiple pulses on the plasma chemistry during the remediation of NOx using dielectric barrier discharges, J PHYS D, 34(4), 2001, pp. 574-583

Authors: Kinder, RL Kushner, MJ
Citation: Rl. Kinder et Mj. Kushner, Noncollisional heating and electron energy distributions in magnetically enhanced inductively coupled and helicon plasma sources, J APPL PHYS, 90(8), 2001, pp. 3699-3712

Authors: Lu, JQ Kushner, MJ
Citation: Jq. Lu et Mj. Kushner, Inflight electron impact excitation in ionized metal physical vapor deposition, J APPL PHYS, 89(2), 2001, pp. 878-882

Authors: Subramonium, P Kushner, MJ
Citation: P. Subramonium et Mj. Kushner, Pulsed inductively coupled chlorine plasmas in the presence of a substratebias, APPL PHYS L, 79(14), 2001, pp. 2145-2147

Authors: Zhang, D Kushner, MJ
Citation: D. Zhang et Mj. Kushner, Mechanisms for CF2 radical generation and loss on surfaces in fluorocarbonplasmas, J VAC SCI A, 18(6), 2000, pp. 2661-2668

Authors: Xu, XD Rauf, S Kushner, MJ
Citation: Xd. Xu et al., Plasma abatement of perfluorocompounds in inductively coupled plasma reactors, J VAC SCI A, 18(1), 2000, pp. 213-231

Authors: Kushner, MJ Zhang, D
Citation: Mj. Kushner et D. Zhang, An electron impact cross section set for CHF3, J APPL PHYS, 88(6), 2000, pp. 3231-3234

Authors: Dorai, R Kushner, MJ
Citation: R. Dorai et Mj. Kushner, Consequences of propene and propane on plasma remediation of NOx, J APPL PHYS, 88(6), 2000, pp. 3739-3747

Authors: Dorai, R Hassouni, K Kushner, MJ
Citation: R. Dorai et al., Interaction between soot particles and NOx during dielectric barrier discharge plasma remediation of simulated diesel exhaust, J APPL PHYS, 88(10), 2000, pp. 6060-6071

Authors: van der Straaten, T Kushner, MJ
Citation: T. Van Der Straaten et Mj. Kushner, A Monte-Carlo model of xenon resonance radiation transport in a plasma display panel cell: Transition from optically thick to thin regimes, J APPL PHYS, 87(6), 2000, pp. 2700-2707

Authors: Zhang, D Kushner, MJ
Citation: D. Zhang et Mj. Kushner, Surface kinetics and plasma equipment model for Si etching by fluorocarbonplasmas, J APPL PHYS, 87(3), 2000, pp. 1060-1069

Authors: Lu, JQ Kushner, MJ
Citation: Jq. Lu et Mj. Kushner, Effect of sputter heating in ionized metal physical vapor deposition reactors, J APPL PHYS, 87(10), 2000, pp. 7198-7207

Authors: Kinder, RL Kushner, MJ
Citation: Rl. Kinder et Mj. Kushner, Consequences of mode structure on plasma properties in electron cyclotron resonance sources, J VAC SCI A, 17(5), 1999, pp. 2421-2430

Authors: Rauf, S Kushner, MJ
Citation: S. Rauf et Mj. Kushner, Controller design issues in the feedback control of radio frequency plasmaprocessing reactors, J VAC SCI A, 17(3), 1999, pp. 704-712

Authors: Rauf, S Kushner, MJ
Citation: S. Rauf et Mj. Kushner, Nonlinear dynamics of radio frequency plasma processing reactors powered by multifrequency sources, IEEE PLAS S, 27(5), 1999, pp. 1329-1338

Authors: Kushner, MJ Rauf, S
Citation: Mj. Kushner et S. Rauf, Second triennial issue of images in plasma science, IEEE PLAS S, 27(1), 1999, pp. 4-5

Authors: Rauf, S Kushner, MJ
Citation: S. Rauf et Mj. Kushner, Operation of a coplanar-electrode plasma display panel cell, IEEE PLAS S, 27(1), 1999, pp. 10-11

Authors: Keiter, ER Kushner, MJ
Citation: Er. Keiter et Mj. Kushner, Radical and electron densities in a high plasma density-chemical vapor deposition reactor from a three-dimensional simulation, IEEE PLAS S, 27(1), 1999, pp. 62-63

Authors: Kinder, RL Kushner, MJ
Citation: Rl. Kinder et Mj. Kushner, TE10 excitation of an electron cyclotron resonance plasma source, IEEE PLAS S, 27(1), 1999, pp. 64-65

Authors: Xu, XD Kushner, MJ
Citation: Xd. Xu et Mj. Kushner, The consequences of remnant surface charges on microdischarge spreading indielectric barrier discharges, IEEE PLAS S, 27(1), 1999, pp. 108-109

Authors: Rauf, S Kushner, MJ
Citation: S. Rauf et Mj. Kushner, Dynamics of a coplanar-electrode plasma display panel cell. I. Basic operation, J APPL PHYS, 85(7), 1999, pp. 3460-3469
Risultati: 1-25 | 26-27