AAAAAA

   
Results: 1-8 |
Results: 8

Authors: WOOD RG RUTENBAR RA
Citation: Rg. Wood et Ra. Rutenbar, FPGA ROUTING AND ROUTABILITY ESTIMATION VIA BOOLEAN SATISFIABILITY, IEEE transactions on very large scale integration (VLSI) systems, 6(2), 1998, pp. 222-231

Authors: NAG SK RUTENBAR RA
Citation: Sk. Nag et Ra. Rutenbar, PERFORMANCE-DRIVEN SIMULTANEOUS PLACEMENT AND ROUTING FOR FPGAS, IEEE transactions on computer-aided design of integrated circuits and systems, 17(6), 1998, pp. 499-518

Authors: OCHOTTA ES RUTENBAR RA CARLEY LR
Citation: Es. Ochotta et al., SYNTHESIS OF HIGH-PERFORMANCE ANALOG CIRCUITS IN ASTRX OBLX/, IEEE transactions on computer-aided design of integrated circuits and systems, 15(3), 1996, pp. 273-294

Authors: MAULIK PC CARLEY R RUTENBAR RA
Citation: Pc. Maulik et al., INTEGER PROGRAMMING BASED TOPOLOGY SELECTION OF CELL-LEVEL ANALOG CIRCUITS, IEEE transactions on computer-aided design of integrated circuits and systems, 14(4), 1995, pp. 401-412

Authors: DIRECTOR SW KHOSLA PK ROHRER RA RUTENBAR RA
Citation: Sw. Director et al., REENGINEERING THE CURRICULUM - DESIGN AND ANALYSIS OF A NEW UNDERGRADUATE ELECTRICAL AND COMPUTER-ENGINEERING DEGREE AT CARNEGIE-MELLON-UNIVERSITY, Proceedings of the IEEE, 83(9), 1995, pp. 1246-1269

Authors: MITRA S RUTENBAR RA CARLEY LR ALLSTOT DJ
Citation: S. Mitra et al., SUBSTRATE-AWARE MIXED-SIGNAL MACROCELL PLACEMENT IN WRIGHT, IEEE journal of solid-state circuits, 30(3), 1995, pp. 269-278

Authors: STANISIC BR RUTENBAR RA CARLEY LR
Citation: Br. Stanisic et al., ADDRESSING NOISE DECOUPLING IN MIXED-SIGNAL ICS - POWER DISTRIBUTION DESIGN AND CELL CUSTOMIZATION, IEEE journal of solid-state circuits, 30(3), 1995, pp. 321-326

Authors: STANISIC BR VERGHESE NK RUTENBAR RA CARLEY LR ALLSTOT DJ
Citation: Br. Stanisic et al., ADDRESSING SUBSTRATE COUPLING IN MIXED-MODE ICS - SIMULATION AND POWER DISTRIBUTION SYNTHESIS, IEEE journal of solid-state circuits, 29(3), 1994, pp. 226-238
Risultati: 1-8 |