AAAAAA

   
Results: 1-3 |
Results: 3

Authors: Zheng, LR Tenhunen, H
Citation: Lr. Zheng et H. Tenhunen, Design and analysis of power integrity in deep submicron system-on-chip circuits, ANALOG IN C, 30(1), 2002, pp. 15-29

Authors: Zheng, LR Tenhunen, H
Citation: Lr. Zheng et H. Tenhunen, Fast modeling of core switching noise on distributed LRC power grid in ULSI circuits, IEEE T AD P, 24(3), 2001, pp. 245-254

Authors: Jonsson, BE Tenhunen, H
Citation: Be. Jonsson et H. Tenhunen, A 3 V wideband CMOS switched-current A/D-converter suitable for time-interleaved operation, ANALOG IN C, 23(2), 2000, pp. 127-139
Risultati: 1-3 |