AAAAAA

   
Results: 1-18 |
Results: 18

Authors: Simunic, T Benini, L De Micheli, G
Citation: T. Simunic et al., Energy-efficient design of battery-powered embedded systems, IEEE VLSI, 9(1), 2001, pp. 15-28

Authors: Sanguinetti, J Schaumont, P Bhatt, R Liao, S Lennard, CK De Micheli, G Gajski, DD
Citation: J. Sanguinetti et al., System-on-chip specification and modeling using C++: Challenges and opportunities, IEEE DES T, 18(3), 2001, pp. 115-123

Authors: Lu, YH De Micheli, G
Citation: Yh. Lu et G. De Micheli, Comparing system-level power management policies, IEEE DES T, 18(2), 2001, pp. 10-19

Authors: Benini, L De Micheli, G Lioy, A Macii, E Odasso, G Poncino, M
Citation: L. Benini et al., Synthesis of power-managed sequential components based on computational kernel extraction, IEEE COMP A, 20(9), 2001, pp. 1118-1131

Authors: Simunic, T Benini, L Glynn, P De Micheli, G
Citation: T. Simunic et al., Event-driven power management, IEEE COMP A, 20(7), 2001, pp. 840-857

Authors: Semeria, L De Micheli, G
Citation: L. Semeria et G. De Micheli, Resolution, optimization, and encoding of pointer variables for the behavioral synthesis from C, IEEE COMP A, 20(2), 2001, pp. 213-233

Authors: Benini, L De Micheli, G
Citation: L. Benini et G. De Micheli, Synthesis of low-power selectively-clocked systems from high-level specification, ACM T DES A, 5(3), 2000, pp. 311-321

Authors: Bogliolo, A Benini, L De Micheli, G
Citation: A. Bogliolo et al., Regression-based RTL power modeling, ACM T DES A, 5(3), 2000, pp. 337-372

Authors: Benini, L De Micheli, G
Citation: L. Benini et G. De Micheli, System-level power optimization: Techniques and tools, ACM T DES A, 5(2), 2000, pp. 115-192

Authors: Benini, L Bogliolo, A De Micheli, G
Citation: L. Benini et al., A survey of design techniques for system-level dynamic power management, IEEE VLSI, 8(3), 2000, pp. 299-316

Authors: Mooney, VJ De Micheli, G
Citation: Vj. Mooney et G. De Micheli, Hardware/software co-design of run-time schedulers for real-time systems, DES AUTOM E, 6(1), 2000, pp. 89-144

Authors: Cuomo, A De Micheli, G Ernst, R Fuchs, M Gajski, DD Jerraya, A Sangiovanni-Vincentelli, A Sciuto, D Vissers, KA
Citation: A. Cuomo et al., Hardware-software codesign, IEEE DES T, 17(1), 2000, pp. 92-99

Authors: Benini, L De Micheli, G Macii, E Poncino, M Scarsi, R
Citation: L. Benini et al., A multilevel engine for fast power simulation of realistic input streams, IEEE COMP A, 19(4), 2000, pp. 459-472

Authors: De Micheli, G
Citation: G. De Micheli, Hardware and software may be destined to merge, ELECTR DES, 48(11), 2000, pp. 50-50

Authors: Benini, L De Micheli, G Macii, A Macii, E Poncino, M
Citation: L. Benini et al., Automatic selection of instruction op-codes of low-power core processors, IEE P-COM D, 146(4), 1999, pp. 173-178

Authors: Benini, L Bogliolo, A Paleologo, GA De Micheli, G
Citation: L. Benini et al., Policy optimization for dynamic power management, IEEE COMP A, 18(6), 1999, pp. 813-833

Authors: Benini, L De Micheli, G Lioy, A Macii, E Odasso, G Poncino, M
Citation: L. Benini et al., Automatic synthesis of large telescopic units based on near-minimum timed supersetting, IEEE COMPUT, 48(8), 1999, pp. 769-779

Authors: Kapadia, H Benini, L De Micheli, G
Citation: H. Kapadia et al., Reducing switching activity on datapath buses with control-signal gating, IEEE J SOLI, 34(3), 1999, pp. 405-414
Risultati: 1-18 |