AAAAAA

   
Results: 1-7 |
Results: 7

Authors: CABRAL C CLEVENGER LA HARPER JME DHEURLE FM ROY RA SAENGER KL MILES GL MANN RW
Citation: C. Cabral et al., LOWERING THE FORMATION TEMPERATURE OF THE C54-TISI2 PHASE USING A METALLIC INTERFACIAL LAYER, Journal of materials research, 12(2), 1997, pp. 304-307

Authors: CABRAL C CLEVENGER LA HARPER JME DHEURLE FM ROY RA LAVOIE C SAENGER KL MILES GL MANN RW NAKOS JS
Citation: C. Cabral et al., LOW-TEMPERATURE FORMATION OF C54-TISI2 USING TITANIUM-ALLOYS, Applied physics letters, 71(24), 1997, pp. 3531-3533

Authors: LAVOIE MA ADAMS ED MILES GL
Citation: Ma. Lavoie et al., TOTAL-REFLECTION X-RAY-FLUORESCENCE ANALYSIS OF PLANARIZED SEMICONDUCTOR PRODUCT WAFERS, Journal of vacuum science & technology. A. Vacuum, surfaces, and films, 14(3), 1996, pp. 1924-1926

Authors: MILES GL MANN RW BERTSCH JE
Citation: Gl. Miles et al., TISI2 PHASE-TRANSFORMATION CHARACTERISTICS ON NARROW DEVICES, Thin solid films, 291, 1996, pp. 469-472

Authors: ADLER E DEBROSSE JK GEISSLER SF HOLMES SJ JAFFE MD JOHNSON JB KOBURGER CW LASKY JB LLOYD B MILES GL NAKOS JS NOBLE WP VOLDMAN SH ARMACOST M FERGUSON R
Citation: E. Adler et al., THE EVOLUTION OF IBM CMOS DRAM TECHNOLOGY, IBM journal of research and development, 39(1-2), 1995, pp. 167-188

Authors: KOBURGER CW CLARK WF ADKISSON JW ADLER E BAKEMAN PE BERGENDAHL AS BOTULA AB CHANG W DAVARI B GIVENS JH HANSEN HH HOLMES SJ HORAK DV LAM CH LASKY JB LUCE SE MANN RW MILES GL NAKOS JS NOWAK EJ SHAHIDI G TAUR Y WHITE FR WORDEMAN MR
Citation: Cw. Koburger et al., A HALF-MICRON CMOS LOGIC GENERATION, IBM journal of research and development, 39(1-2), 1995, pp. 215-227

Authors: MANN RW MILES GL KNOTTS TA RAKOWSKI DW CLEVENGER LA HARPER JME DHEURLE FM CABRAL C
Citation: Rw. Mann et al., REDUCTION OF THE C54-TISI2 PHASE-TRANSFORMATION TEMPERATURE USING REFRACTORY-METAL ION-IMPLANTATION, Applied physics letters, 67(25), 1995, pp. 3729-3731
Risultati: 1-7 |