AAAAAA

   
Results: 1-4 |
Results: 4

Authors: Maksimovic, D Oklobdzija, VG Nikolic, B Current, KW
Citation: D. Maksimovic et al., Clocked CMOS adiabatic logic with integrated single-phase power-clock supply, IEEE VLSI, 8(4), 2000, pp. 460-463

Authors: Markovic, D Nikolic, B Oklobdzija, VG
Citation: D. Markovic et al., A general method in synthesis of pass-transistor circuits, MICROELEC J, 31(11-12), 2000, pp. 991-998

Authors: Nikolic, B Oklobdzija, VG Stojanovic, V Jia, WY Chiu, JKS Leung, MMT
Citation: B. Nikolic et al., Improved sense-amplifier-based flip-flop: Design and measurements, IEEE J SOLI, 35(6), 2000, pp. 876-884

Authors: Stojanovic, V Oklobdzija, VG
Citation: V. Stojanovic et Vg. Oklobdzija, Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems, IEEE J SOLI, 34(4), 1999, pp. 536-548
Risultati: 1-4 |