AAAAAA

   
Results: 1-23 |
Results: 23

Authors: Gardiner, AB Burns, S Qin, AW Willson, CG
Citation: Ab. Gardiner et al., Determination of residual casting solvent concentration gradients in resist films by a "halt development" technique, J VAC SCI B, 19(1), 2001, pp. 136-141

Authors: Klopp, JM Pasini, D Byers, JD Willson, CG Frechet, JMJ
Citation: Jm. Klopp et al., Microlithographic assessment of a novel family of transparent and etch-resistant chemically amplified 193-nm resists eased on cyclopolymers, CHEM MATER, 13(11), 2001, pp. 4147-4153

Authors: Wang, Q Zhao, W Lozano, J Sun, YM Willson, CG White, JM
Citation: Q. Wang et al., Al/Al2O3 film growth and laser-induced transformation, APPL SURF S, 183(3-4), 2001, pp. 182-190

Authors: Colburn, M Bailey, T Choi, BJ Ekerdt, JG Sreenivasan, SV Willson, CG
Citation: M. Colburn et al., Development and advantages of step-and-flash lithography, SOL ST TECH, 44(7), 2001, pp. 67

Authors: Conley, W Dean, K Miller, D Rich, G Graffenberg, V Patel, S Lin, SH Jamieson, A Hung, R Yamada, S Pinnow, M MacDonald, S Chambers, C Osborne, B Patterson, K Somervell, M Trinque, B Tran, HV Cho, S Chiba, T Byers, J Tomas, B Shafer, G DesMarteau, D Klopp, J Frechet, J Sanders, D Grubbs, R Ober, C Korner, H Willson, CG
Citation: W. Conley et al., Developments in materials for 157nm photoresists, SOL ST TECH, 44(10), 2001, pp. 63

Authors: Erdmann, A Henderson, CL Willson, CG
Citation: A. Erdmann et al., Impact of exposure induced refractive index changes of photoresists on thephotolithographic process, J APPL PHYS, 89(12), 2001, pp. 8163-8168

Authors: Brodsky, C Byers, J Conley, W Hung, R Yamada, S Patterson, K Somervell, M Trinque, B Tran, HV Cho, S Chiba, T Lin, SH Jamieson, A Johnson, H Vander Heyden, T Willson, CG
Citation: C. Brodsky et al., 157 nm resist materials: Progress report, J VAC SCI B, 18(6), 2000, pp. 3396-3401

Authors: Bailey, T Choi, BJ Colburn, M Meissl, M Shaya, S Ekerdt, JG Sreenivasan, SV Willson, CG
Citation: T. Bailey et al., Step and flash imprint lithography: Template surface treatment and defect analysis, J VAC SCI B, 18(6), 2000, pp. 3572-3577

Authors: Somervell, MH Fryer, DS Osborn, B Patterson, K Byers, J Willson, CG
Citation: Mh. Somervell et al., Study of the fundamental contributions to line edge roughness in a 193 nm,top surface imaging system, J VAC SCI B, 18(5), 2000, pp. 2551-2559

Authors: Stewart, MD Patterson, K Somervell, MH Willson, CG
Citation: Md. Stewart et al., Organic imaging materials: a view of the future, J PHYS ORG, 13(12), 2000, pp. 767-774

Authors: Patterson, K Somervell, M Willson, CG
Citation: K. Patterson et al., The challenges in materials design for 157nm photoresists, SOL ST TECH, 43(3), 2000, pp. 41

Authors: Hale, MA Clausi, D Willson, CG Dallas, T Hashemi, J Wilson, J James, D Holtz, M Kuhrts, K Combs, B
Citation: Ma. Hale et al., Ultrahigh pressure cell for materials synthesis, REV SCI INS, 71(7), 2000, pp. 2784-2790

Authors: Rager, T Willson, CG
Citation: T. Rager et Cg. Willson, Synthesis and characterization of diastereoisomerically pure tetracyclo[6.2.1.1(3,6).0(2,7)]dodec-9-ene-4-carboxylic acid derivatives, HELV CHIM A, 83(10), 2000, pp. 2769-2782

Authors: Ruchhoeft, P Colburn, M Choi, B Nounu, H Johnson, S Bailey, T Damle, S Stewart, M Ekerdt, J Sreenivasan, SV Wolfe, JC Willson, CG
Citation: P. Ruchhoeft et al., Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography, J VAC SCI B, 17(6), 1999, pp. 2965-2969

Authors: Flanagin, LW Singh, VK Willson, CG
Citation: Lw. Flanagin et al., Surface roughness development during photoresist dissolution, J VAC SCI B, 17(4), 1999, pp. 1371-1379

Authors: Medeiros, DR Hale, MA Hung, RJP Leitko, JK Willson, CG
Citation: Dr. Medeiros et al., Ferroelectric cyclic oligosiloxane liquid crystals, J MAT CHEM, 9(7), 1999, pp. 1453-1460

Authors: Hale, MA Medeiros, DR Dombrowski, KD Willson, CG
Citation: Ma. Hale et al., X-ray diffraction and torsional viscosity investigations of laterally linked S-C liquid crystal dimers, CHEM MATER, 11(9), 1999, pp. 2515-2519

Authors: Havard, JM Shim, SY Frechet, JMJ Lin, QH Medeiros, DR Willson, CG Byers, JD
Citation: Jm. Havard et al., Design of photoresists with reduced environmental impact. 1. Water-solubleresists based on photo-cross-linking of poly(vinyl alcohol), CHEM MATER, 11(3), 1999, pp. 719-725

Authors: Flanagin, LW Singh, VK Willson, CG
Citation: Lw. Flanagin et al., Molecular model of phenolic polymer dissolution in photolithography, J POL SC PP, 37(16), 1999, pp. 2103-2113

Authors: Havard, JM Yoshida, M Pasini, D Vladimirov, N Frechet, JMJ Medeiros, DR Patterson, K Yamada, S Willson, CG Byers, JD
Citation: Jm. Havard et al., Design of photoresists with reduced environmental impact. II. Water-soluble resists based on photocrosslinking of poly(2-isopropenyl-2-oxazoline), J POL SC PC, 37(9), 1999, pp. 1225-1236

Authors: Flanagin, LW McAdams, CL Hinsberg, WD Sanchez, IC Willson, CG
Citation: Lw. Flanagin et al., Mechanism of phenolic polymer dissolution: Importance of acid-base equilibria, MACROMOLEC, 32(16), 1999, pp. 5337-5343

Authors: Havard, JM Vladimirov, N Frechet, JMJ Yamada, S Willson, CG Byers, JD
Citation: Jm. Havard et al., Photoresists with reduced environmental impact: Water-soluble resists based on photo-cross-linking of a sugar-containing polymethacrylate, MACROMOLEC, 32(1), 1999, pp. 86-94

Authors: Mack, CA Mueller, KE Gardiner, AB Sagan, JP Dammel, RR Willson, CG
Citation: Ca. Mack et al., Modeling solvent diffusion in photoresist, J VAC SCI B, 16(6), 1998, pp. 3779-3783
Risultati: 1-23 |