AAAAAA

   
Results: 1-25 | 26-28
Results: 1-25/28

Authors: Huang, SY Cheng, KT Chen, KC
Citation: Sy. Huang et al., Verifying sequential equivalence using ATPG techniques, ACM T DES A, 6(2), 2001, pp. 244-275

Authors: Jiang, YM Cheng, KT
Citation: Ym. Jiang et Kt. Cheng, Vector generation for power supply noise estimation and verification of deep submicron designs, IEEE VLSI, 9(2), 2001, pp. 329-340

Authors: Chen, MH Cheng, KT Johnson, WR
Citation: Mh. Chen et al., Large-scale relativistic configuration-interaction calculation of the 2s(2) S-1(0)-2s2p P-3(1) intercombination transition in CIII - art. no. 042507, PHYS REV A, 6404(4), 2001, pp. 2507

Authors: Sapirstein, J Cheng, KT
Citation: J. Sapirstein et Kt. Cheng, Determination of the two-loop Lamb shift in lithiumlike bismuth - art. no.022502, PHYS REV A, 6402(2), 2001, pp. 2502

Authors: Sapirstein, J Cheng, KT
Citation: J. Sapirstein et Kt. Cheng, Hyperfine splitting in lithiumlike bismuth - art. no. 032506, PHYS REV A, 6303(3), 2001, pp. 2506

Authors: Johnson, WR Cheng, KT
Citation: Wr. Johnson et Kt. Cheng, Strong nondipole effects in low-energy photoionization of the 5s and 5p subshells of xenon - art. no. 022504, PHYS REV A, 6302(2), 2001, pp. 2504

Authors: Huang, CY Cheng, KT
Citation: Cy. Huang et Kt. Cheng, Using word-level ATPG and modular arithmetic constraint-solving techniquesfor assertion property checking, IEEE COMP A, 20(3), 2001, pp. 381-391

Authors: Krstic, A Jiang, YM Cheng, KT
Citation: A. Krstic et al., Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects, IEEE COMP A, 20(3), 2001, pp. 416-425

Authors: Bryant, RE Cheng, KT Kahng, AB Keutzer, K Maly, W Newton, R Pileggi, L Rabaey, JM Sangiovanni-Vincentelli, A
Citation: Re. Bryant et al., Limitations and challenges of computer-aided design technology for CMOS VLSI, P IEEE, 89(3), 2001, pp. 341-365

Authors: Jiang, YM Krstic, A Cheng, KT
Citation: Ym. Jiang et al., Estimation for maximum instantaneous current through supply lines for CMOScircuits, IEEE VLSI, 8(1), 2000, pp. 61-73

Authors: Huang, JL Cheng, KT
Citation: Jl. Huang et Kt. Cheng, Test point selection for analog fault diagnosis of unpowered circuit boards, IEEE CIR-II, 47(10), 2000, pp. 977-987

Authors: Cheng, KT Chen, MH Sapirstein, J
Citation: Kt. Cheng et al., Quantum electrodynamic corrections in high-Z Li-like and Be-like ions - art. no. 054501, PHYS REV A, 6205(5), 2000, pp. 4501

Authors: Cheng, KT Chen, MH
Citation: Kt. Cheng et Mh. Chen, Energy levels of the low-lying states of mid-Z heliumlike ions - art. no. 044503, PHYS REV A, 6104(4), 2000, pp. 4503

Authors: Lai, WC Krstic, A Cheng, KT
Citation: Wc. Lai et al., Functionally testable path delay faults on a microprocessor, IEEE DES T, 17(4), 2000, pp. 6-14

Authors: Tsai, HC Cheng, KT Bhawmik, S
Citation: Hc. Tsai et al., On improving test quality of scan-based BIST, IEEE COMP A, 19(8), 2000, pp. 928-938

Authors: Cheng, KT Su, B Chen, CT Lin, CC
Citation: Kt. Cheng et al., RAPD analysis of Astragalus medicines marketed in Taiwan, AM J CHIN M, 28(2), 2000, pp. 273-278

Authors: Huang, SY Cheng, KT Chen, KC Huang, CY Brewer, F
Citation: Sy. Huang et al., AQUILA: An equivalence checking system for large sequential designs, IEEE COMPUT, 49(5), 2000, pp. 443-464

Authors: Cheng, KT Chang, HC Huang, H Lin, CT
Citation: Kt. Cheng et al., RAPD analysis of Lycium barbarum medicine in Taiwan market, BOTAN B A S, 41(1), 2000, pp. 11-14

Authors: Pan, CY Cheng, KT
Citation: Cy. Pan et Kt. Cheng, Test generation for linear time-invariant analog circuits, IEEE CIR-II, 46(5), 1999, pp. 554-564

Authors: Johnson, WR Derevianko, A Cheng, KT Dolmatov, VK Manson, ST
Citation: Wr. Johnson et al., Nondipole effects in the photoionization of neon: Random-phase approximation, PHYS REV A, 59(5), 1999, pp. 3609-3613

Authors: Sapirstein, J Cheng, KT Chen, MH
Citation: J. Sapirstein et al., Potential independence of the solution to the relativistic many-body problem and the role of negative-energy states in heliumlike ions, PHYS REV A, 59(1), 1999, pp. 259-266

Authors: George, MS Stallings, LE Speer, AM Nahas, Z Spicer, KM Vincent, DJ Bohning, DE Cheng, KT Molloy, M Teneback, CC Risch, SC
Citation: Ms. George et al., Prefrontal repetitive transcranial magnetic stimulation (rTMS) changes relative perfusion locally and remotely, HUM PSYCHOP, 14(3), 1999, pp. 161

Authors: Huang, SY Cheng, KT
Citation: Sy. Huang et Kt. Cheng, ErrorTracer: Design error diagnosis based on fault simulation techniques, IEEE COMP A, 18(9), 1999, pp. 1341-1352

Authors: Huang, SY Chen, KC Cheng, KT
Citation: Sy. Huang et al., AutoFix: A hybrid tool for automatic logic rectification, IEEE COMP A, 18(9), 1999, pp. 1376-1384

Authors: Krstic, A Cheng, KT Chakradhar, ST
Citation: A. Krstic et al., Primitive delay faults: Identification, testing, and design for testability, IEEE COMP A, 18(6), 1999, pp. 669-684
Risultati: 1-25 | 26-28