AAAAAA

   
Results: 1-25 | 26-27
Results: 1-25/27

Authors: Nachtergaele, L Gijbels, T Bormans, J Catthoor, F Bolsens, I
Citation: L. Nachtergaele et al., Power and speed-efficient code transformation of video compression algorithms for RISC processors, J VLSI S P, 27(1-2), 2001, pp. 161-169

Authors: Panda, PR Catthoor, F Dutt, ND Danckaert, K Brockmeyer, E Kulkarni, C Vandercappelle, A Kjeldsberg, PG
Citation: Pr. Panda et al., Data and memory optimization techniques for embedded systems, ACM T DES A, 6(2), 2001, pp. 149-206

Authors: Danckaert, K Kulkarni, C Catthoor, F De Man, H Tiwari, V
Citation: K. Danckaert et al., A systematic approach to reduce the system bus load and power in multimedia algorithms, VLSI DESIGN, 12(2), 2001, pp. 101-111

Authors: Schurgers, C Catthoor, F Engels, M
Citation: C. Schurgers et al., Memory optimization of MAP turbo decoder algorithms, IEEE VLSI, 9(2), 2001, pp. 305-312

Authors: Yang, P Wong, C Marchal, P Catthoor, F Desmet, D Verkest, D Lauwereins, R
Citation: P. Yang et al., Energy-aware runtime scheduling for embedded-multiprocessor SOCs, IEEE DES T, 18(5), 2001, pp. 46-58

Authors: Rajsuman, R Catthoor, F
Citation: R. Rajsuman et F. Catthoor, Guest editors' introduction: The new world of large embedded memories, IEEE DES T, 18(3), 2001, pp. 3-4

Authors: Nachtergaele, L Catthoor, F Kulkarni, C
Citation: L. Nachtergaele et al., Random-access data storage components in customized architectures, IEEE DES T, 18(3), 2001, pp. 40-54

Authors: Panda, PR Dutt, ND Nicolau, A Catthoor, F Vandecappelle, A Brockmeyer, E Kulkarni, C De Greef, E
Citation: Pr. Panda et al., Data memory organization and optimizations in application-specific systems, IEEE DES T, 18(3), 2001, pp. 56-68

Authors: Catthoor, F Danckaert, K Wuytack, S Dutt, ND
Citation: F. Catthoor et al., Code transformations for data transfer and storage exploration preprocessing in multimedia processors, IEEE DES T, 18(3), 2001, pp. 70-82

Authors: Ellervee, P Miranda, M Catthoor, F Hemani, A
Citation: P. Ellervee et al., System-level data-format exploration for dynamically allocated data structures, IEEE COMP A, 20(12), 2001, pp. 1469-1472

Authors: Masselos, K Danckaert, K Catthoor, F Zervas, N Goutis, CE De Man, H
Citation: K. Masselos et al., A specification refinement methodology for power efficient partitioning ofdata-dominated algorithms within performance constraints, J VLSI S P, 26(3), 2000, pp. 291-317

Authors: Lafruit, G Nachtergaele, L Vanhoof, B Catthoor, F
Citation: G. Lafruit et al., The Local Wavelet Transform: a memory-efficient, high-speed architecture optimized to a Region-Oriented Zero-Tree coder, INTEGR COMP, 7(2), 2000, pp. 89-103

Authors: Vermeulen, F Catthoor, F Verkest, D De Man, H
Citation: F. Vermeulen et al., Formalized three-layer system-level model and reuse methodology for embedded data-dominated applications, IEEE VLSI, 8(2), 2000, pp. 207-216

Authors: Achteren, TV Ade, M Lauwereins, R Proesmans, M Van Gool, L Bormans, J Catthoor, F
Citation: Tv. Achteren et al., Transformations of a 3D image reconstruction algorithm for data transfer and storage optimisation, DES AUTOM E, 5(3-4), 2000, pp. 313-327

Authors: Catthoor, F van Leuken, R Nouta, R de Graaf, A
Citation: F. Catthoor et al., Motivation, context and objectives, UNIFIED LOW-POWER DESIGN FLOW FOR DATA-DOMINATED MULTI-MEDIA AND TELECOM APPLICATIONS, 2000, pp. 1-6

Authors: Catthoor, F Brockmeyer, E
Citation: F. Catthoor et E. Brockmeyer, Unified meta-flow summary for low-power data-dominated applications, UNIFIED LOW-POWER DESIGN FLOW FOR DATA-DOMINATED MULTI-MEDIA AND TELECOM APPLICATIONS, 2000, pp. 7-23

Authors: Brockmeyer, E Ghez, C Baetens, W Catthoor, F
Citation: E. Brockmeyer et al., Low-power processor-level data transfer and storage exploration, UNIFIED LOW-POWER DESIGN FLOW FOR DATA-DOMINATED MULTI-MEDIA AND TELECOM APPLICATIONS, 2000, pp. 25-63

Authors: Kulkarni, C Moolenaar, D Nachtergaele, L Catthoor, F De Man, H
Citation: C. Kulkarni et al., System-level energy-delay exploration for multimedia applications on embedded cores with hardware cache, J VLSI S P, 22(1), 1999, pp. 45-57

Authors: Verkest, D Da Silva, JL Ykman, C Croes, K Miranda, M Wuytack, S Catthoor, F De Jong, G De Man, H
Citation: D. Verkest et al., Matisse: A system-on-chip design methodology emphasizing dynamic memory management, J VLSI S P, 21(3), 1999, pp. 185-194

Authors: Catthoor, F
Citation: F. Catthoor, Energy-delay efficient data storage and transfer architectures and methodologies: Current solutions and remaining problems, J VLSI S P, 21(3), 1999, pp. 219-231

Authors: Danckaert, K Masselos, K Catthoor, F De Man, H
Citation: K. Danckaert et al., Strategy for power efficient combined task and data parallelism exploration illustrated on a QSDPCM video codec, J SYST ARCH, 45(10), 1999, pp. 791-808

Authors: Wuytack, S Catthoor, F de Jong, G De Man, HJ
Citation: S. Wuytack et al., Minimizing the required memory bandwidth in VLSI system realizations, IEEE VLSI, 7(4), 1999, pp. 433-441

Authors: Danckaert, K Masselos, K Catthoor, F De Man, HJ Goutis, C
Citation: K. Danckaert et al., Strategy for power-efficient design of parallel systems, IEEE VLSI, 7(2), 1999, pp. 258-265

Authors: Lafruit, G Catthoor, F Cornelis, JPH De Man, HJ
Citation: G. Lafruit et al., An efficient VLSI architecture for 2-D wavelet image coding with novel image scan, IEEE VLSI, 7(1), 1999, pp. 56-68

Authors: Wuytack, S da Silva, JL Catthoor, F de Jong, G Ykman-Couvreur, C
Citation: S. Wuytack et al., Memory management for embedded network applications, IEEE COMP A, 18(5), 1999, pp. 533-544
Risultati: 1-25 | 26-27